作业帮 > 综合 > 作业

如何用JK触发器设计一个四进制计数器

来源:学生作业帮 编辑:拍题作业网作业帮 分类:综合作业 时间:2024/04/28 00:41:01
如何用JK触发器设计一个四进制计数器
首先 JK相连得到一个T触发器,输入 T(就是JK),CTRL,输出Q
设四个T的输出状态是Q3 Q2 Q1 Q0
也就是每高一级(每高一位)由低位来驱动
T0123 连 1
C0连 CLK
C1 连 Q0
C2 连 Q1
C3 连 Q2
这样得到的是不带进位的计数器
进位CF = Q0 & Q1 & Q2 & Q3
也就是全1的时候在一个周期就肯定进位了
其实每个T触发器实现了一级分频,不停分下去就是四分频八分频了.