作业帮 > 综合 > 作业

用VHDL设计四个开关控制一盏灯的逻辑电路,要求改变任意开关的状态能够引起灯亮灭状态的改变.(即任一开

来源:学生作业帮 编辑:拍题作业网作业帮 分类:综合作业 时间:2024/04/27 23:00:09
用VHDL设计四个开关控制一盏灯的逻辑电路,要求改变任意开关的状态能够引起灯亮灭状态的改变.(即任一开
SIGNAL sw1,sw1_r :STD_LOGIC := '0';
SIGNAL sw2,sw2_r :STD_LOGIC := '0';
SIGNAL sw3,sw3_r :STD_LOGIC := '0';
SIGNAL sw4,sw4_r :STD_LOGIC := '0';
SIGNAL status :STD_LOGIC := '0';
sw_proc:PROCESS(clk)
BEGIN
IF RISING_EDGE(clk) THEN
IF rst = '1' THEN
sw1_