作业帮 > 综合 > 作业

急求eda课程设计一份:脉冲信号发生器的设计

来源:学生作业帮 编辑:拍题作业网作业帮 分类:综合作业 时间:2024/04/28 06:47:34
急求eda课程设计一份:脉冲信号发生器的设计
脉冲信号发生器的设计
要用vhdl语言编程 只要大概的思路与程序的大体框架即可
当然
全面了更好
给个时钟信号发生器参考一下吧
一个电子系统中需要三种时钟,分别是:1000HZ、100Hz、50Hz,系统输入时钟为100KHz.试用VHDL描述该时钟发生器.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity counter is
generic(count_value:integer:=9);
port(clk:in std_logic;
co:out std_logic);
end counter;
architecture a of counter is
signal cnt:integer range 0 to count_value;
begin
process(clk)
begin
if(clk'event and clk='1')then
if(cnt=count_value)then
cnt9)
port map(clk=>co_clk(3),co=>co_clk(2));
cnt2:counter
generic map(count_value=>9)
port map(clk=>co_clk(2),co=>co_clk(1));
cnt3:counter
generic map(count_value=>1)
port map(clk=>co_clk(1),co=>co_clk(0));
fout(2)