作业帮 > 综合 > 作业

如何理解3-8译码器仿真过程中出现的毛刺现象?

来源:学生作业帮 编辑:拍题作业网作业帮 分类:综合作业 时间:2024/05/13 21:01:51
如何理解3-8译码器仿真过程中出现的毛刺现象?
描述的不恰当,就会出现毛刺.换一种描述方式,或者换一种器件(例如不用FPGA而用CPLD)试试.
再问: 描述的不恰当?是什么意思。还有毛刺不是一个必然现象?不是跟电路有关系?
再答: 通常组合逻辑电路在各级延迟搭配不好的情况下,就可能出现毛刺。 你可以采用CPLD芯片试试,在消除毛刺方面往往比FPGA效果要好。