USE ieee.std_logic_arith.ALL是啥意思

来源:学生作业帮助网 编辑:作业帮 时间:2024/05/05 03:36:16
Error (10482): VHDL error at MUX4_1.vhd(18): object "STD_LOG

在描述中,不要将std_logic_vector类型与bit_vector类型混用.将程序包traffic_package中的bit_vector类型改为std_logic_vector类型,将结构体